注冊(cè) | 登錄讀書好,好讀書,讀好書!
讀書網(wǎng)-DuShu.com
當(dāng)前位置: 首頁(yè)出版圖書科學(xué)技術(shù)計(jì)算機(jī)/網(wǎng)絡(luò)行業(yè)軟件及應(yīng)用數(shù)字邏輯與數(shù)字系統(tǒng)設(shè)計(jì):基于Proteus VSM和Verilog HDL

數(shù)字邏輯與數(shù)字系統(tǒng)設(shè)計(jì):基于Proteus VSM和Verilog HDL

數(shù)字邏輯與數(shù)字系統(tǒng)設(shè)計(jì):基于Proteus VSM和Verilog HDL

定 價(jià):¥39.00

作 者: 盧建華 ,邵平凡 著
出版社: 清華大學(xué)出版社
叢編項(xiàng): 高等院校信息技術(shù)規(guī)劃教材
標(biāo) 簽: 大學(xué)教材 教材

ISBN: 9787302328780 出版時(shí)間: 2013-08-01 包裝: 平裝
開本: 16開 頁(yè)數(shù): 322 字?jǐn)?shù):  

內(nèi)容簡(jiǎn)介

  《數(shù)字邏輯與數(shù)字系統(tǒng)設(shè)計(jì):基于Proteus VSM和Verilog HDL/高等院校信息技術(shù)規(guī)劃教材》結(jié)合Proteus VSM,對(duì)數(shù)字邏輯電路的分析與設(shè)計(jì)提供可視化的教學(xué)手段。一方面可以使教師在課堂教學(xué)過(guò)程中通過(guò)Proteus仿真,演示電路的運(yùn)行效果,提高學(xué)生的學(xué)習(xí)興趣,便于學(xué)生對(duì)知識(shí)點(diǎn)的理解和掌握,同時(shí)也使學(xué)生可通過(guò)Proteus軟件完成虛擬平臺(tái)的各類實(shí)驗(yàn),徹底打破過(guò)去受實(shí)驗(yàn)設(shè)備限制而只能在規(guī)定時(shí)間到規(guī)定地點(diǎn)做教師規(guī)定內(nèi)容的實(shí)驗(yàn)的問(wèn)題?!稊?shù)字邏輯與數(shù)字系統(tǒng)設(shè)計(jì):基于Proteus VSM和Verilog HDL/高等院校信息技術(shù)規(guī)劃教材》結(jié)合Verilog HDL使學(xué)生及早地接觸EDA在數(shù)字系統(tǒng)設(shè)計(jì)中的基本方法,為后續(xù)課程的學(xué)習(xí)打好基礎(chǔ)?!稊?shù)字邏輯與數(shù)字系統(tǒng)設(shè)計(jì):基于Proteus VSM和Verilog HDL/高等院校信息技術(shù)規(guī)劃教材》在編排上本著循序漸進(jìn),由淺入深的原則安排教學(xué)內(nèi)容。書中電路均通過(guò)Proteus仿真驗(yàn)證,Verilog程序代碼均在Quartuslf 9.1環(huán)境下編譯并仿真通過(guò)。

作者簡(jiǎn)介

暫缺《數(shù)字邏輯與數(shù)字系統(tǒng)設(shè)計(jì):基于Proteus VSM和Verilog HDL》作者簡(jiǎn)介

圖書目錄

第1章 基礎(chǔ)知識(shí)
1.1概述
1.1.1數(shù)字信號(hào)與模擬信號(hào)
1.1.2數(shù)字系統(tǒng)的基本結(jié)構(gòu)
1.2常用數(shù)制及其轉(zhuǎn)換
1.2.1十進(jìn)制
1.2.2二進(jìn)制
1.2.3二進(jìn)制與十進(jìn)制之間的相互轉(zhuǎn)換
1.2.4八進(jìn)制數(shù)和十六進(jìn)制數(shù)及其與二進(jìn)制數(shù)之間的轉(zhuǎn)換
1.2.5八進(jìn)制在數(shù)制轉(zhuǎn)換中的橋梁作用
1.2.6不同數(shù)制數(shù)據(jù)的后綴表示
1.3帶符號(hào)二進(jìn)制數(shù)的表示方法
1.3.1原碼
1.3.2反碼
1.3.3補(bǔ)碼
1.3.4二進(jìn)制數(shù)的加、減法運(yùn)算
1.4常用編碼
1.4.1二?十進(jìn)制編碼(BCD碼)
1.4.2格雷碼
1.4.3ASCII碼
1.5Proteus軟件簡(jiǎn)介
1.5.1Proteus簡(jiǎn)介
1.5.2Proteus ISIS簡(jiǎn)介
1.5.3Proteus ISIS實(shí)用快捷鍵
本章 小結(jié)
思考題與習(xí)題19[][]◆[]數(shù)字邏輯與數(shù)字系統(tǒng)設(shè)計(jì)——基于Proteus VSM和Verilog HDL目錄[][]第2章 邏輯代數(shù)基礎(chǔ)
2.1邏輯變量與邏輯函數(shù)
2.2基本邏輯運(yùn)算與基本邏輯門
2.2.1邏輯與運(yùn)算和與門
2.2.2邏輯或運(yùn)算和或門
2.2.3邏輯非運(yùn)算和非門
2.2.4基本邏輯門的其他符號(hào)表示
2.2.5由基本邏輯門構(gòu)成的其他復(fù)合門
2.3邏輯代數(shù)的公式與規(guī)則
2.3.1基本公式
2.3.2常用公式
2.3.3關(guān)于等式的基本規(guī)則
2.4邏輯函數(shù)的表示方法
2.4.1邏輯真值表
2.4.2邏輯函數(shù)表達(dá)式
2.4.3邏輯圖
2.4.4卡諾圖
2.4.5波形圖
2.5邏輯函數(shù)的標(biāo)準(zhǔn)形式
2.5.1常用的邏輯函數(shù)式
2.5.2邏輯函數(shù)的與?或式和或?與式
2.5.3最小項(xiàng)和最大項(xiàng)
2.5.4邏輯函數(shù)的標(biāo)準(zhǔn)與?或式和標(biāo)準(zhǔn)或?與式
2.6邏輯函數(shù)的化簡(jiǎn)方法
2.6.1邏輯函數(shù)的公式法化簡(jiǎn)
2.6.2邏輯函數(shù)的卡諾圖法化簡(jiǎn)
本章 小結(jié)
思考題與習(xí)題
第3章 邏輯門電路
3.1基本邏輯門電路
3.1.1二極管門電路
3.1.2三極管非門電路
3.2CMOS管門電路
3.2.1CMOS反相器
3.2.2CMOS與非門
3.2.3CMOS或非門
3.2.4其他類型CMOS門
3.3TTL門電路
3.3.1TTL與非門的基本結(jié)構(gòu)和工作原理
3.3.2TTL與非門的電壓傳輸特性與抗干擾能力
3.3.3TTL與非門的I/O特性與帶負(fù)載能力
3.3.4TTL與非門的動(dòng)態(tài)特性
3.3.5TTL與非門的主要性能參數(shù)
3.4CMOS和TTL集成邏輯門電路簡(jiǎn)介
3.4.1CMOS集成邏輯門電路
3.4.2TTL集成邏輯門電路
本章 小結(jié)
思考題與習(xí)題
第4章 組合邏輯基礎(chǔ)
4.1概述
4.2組合邏輯電路的分析
4.3組合邏輯電路的設(shè)計(jì)
4.4組合邏輯電路中的競(jìng)爭(zhēng)?冒險(xiǎn)
4.4.1競(jìng)爭(zhēng)?冒險(xiǎn)的產(chǎn)生
4.4.2競(jìng)爭(zhēng)?冒險(xiǎn)的判斷
4.4.3競(jìng)爭(zhēng)?冒險(xiǎn)的消除方法
4.5組合邏輯電路的Verilog HDL編程入門
4.5.1可編程邏輯器件與硬件描述語(yǔ)言簡(jiǎn)介
4.5.2Verilog HDL組合邏輯電路設(shè)計(jì)實(shí)例
本章 小結(jié)
思考題與習(xí)題
第5章 組合邏輯電路
5.1譯碼器
5.1.1二進(jìn)制譯碼器
5.1.2二?十進(jìn)制譯碼器
5.1.3半導(dǎo)體數(shù)碼管和七段字形碼譯碼器
5.2編碼器
5.2.1二進(jìn)制編碼器
5.2.2二進(jìn)制優(yōu)先編碼器
5.2.3二?十進(jìn)制編碼器
5.3數(shù)據(jù)分配器與數(shù)據(jù)選擇器
5.3.1數(shù)據(jù)選擇器
5.3.2數(shù)據(jù)分配器
5.4數(shù)值比較電路
5.4.1比較原理
5.4.24位比較器
5.5算術(shù)運(yùn)算電路
5.5.1二進(jìn)制加法器
5.5.2二進(jìn)制減法器
5.6奇偶校驗(yàn)電路
5.6.1奇偶校驗(yàn)的基本原理
5.6.2集成電路奇偶校驗(yàn)發(fā)生器/校驗(yàn)器
5.7中規(guī)模集成電路構(gòu)成組合電路的分析與設(shè)計(jì)
5.7.1分析方法
5.7.2設(shè)計(jì)方法
本章 小結(jié)
思考題與習(xí)題
第6章 時(shí)序邏輯基礎(chǔ)
6.1時(shí)序邏輯電路概述
6.1.1時(shí)序邏輯電路的特點(diǎn)
6.1.2時(shí)序邏輯電路的結(jié)構(gòu)模型
6.1.3時(shí)序邏輯電路的分類
6.1.4時(shí)序邏輯電路的表示方法
6.2觸發(fā)器
6.2.1RS觸發(fā)器
6.2.2D觸發(fā)器
6.2.3JK觸發(fā)器
6.2.4T觸發(fā)器
6.2.5不同類型觸發(fā)器間的轉(zhuǎn)換
6.2.6集成觸發(fā)器及其參數(shù)
6.3基于觸發(fā)器時(shí)序邏輯電路的分析
6.3.1基于觸發(fā)器時(shí)序邏輯電路的分析步驟
6.3.2基于觸發(fā)器時(shí)序邏輯電路的分析舉例
6.4基于觸發(fā)器時(shí)序邏輯電路的設(shè)計(jì)
6.4.1基于觸發(fā)器時(shí)序邏輯電路的設(shè)計(jì)步驟
6.4.2基于觸發(fā)器時(shí)序邏輯電路的設(shè)計(jì)舉例
本章 小結(jié)
思考題與習(xí)題
第7章 時(shí)序邏輯電路
7.1寄存器
7.1.1數(shù)碼寄存器
7.1.2鎖存器
7.1.3移位寄存器
7.2計(jì)數(shù)器
7.2.1計(jì)數(shù)器分類
7.2.2同步集成計(jì)數(shù)器
7.2.3異步集成計(jì)數(shù)器
7.2.4基于MSI計(jì)數(shù)器的任意M進(jìn)制計(jì)數(shù)器
7.2.5移位寄存器型計(jì)數(shù)器
7.3順序脈沖發(fā)生器
7.3.1由計(jì)數(shù)器和譯碼器構(gòu)成的順序脈沖發(fā)生器
7.3.2環(huán)形計(jì)數(shù)器作為順序脈沖發(fā)生器
7.4基于MSI時(shí)序邏輯電路的分析與設(shè)計(jì)
7.4.1基于MSI時(shí)序邏輯電路的分析
7.4.2基于MSI時(shí)序邏輯電路的設(shè)計(jì)
本章 小結(jié)
思考題與習(xí)題
第8章 脈沖數(shù)字電路
8.1多諧振蕩器
8.1.1TTL環(huán)形多諧振蕩器
8.1.2CMOS多諧振蕩器
8.2單穩(wěn)態(tài)觸發(fā)器
8.2.1微分型單穩(wěn)態(tài)觸發(fā)器
8.2.2積分型單穩(wěn)態(tài)觸發(fā)器
8.2.3集成單穩(wěn)態(tài)觸發(fā)器
8.2.4單穩(wěn)態(tài)觸發(fā)器的應(yīng)用
8.3施密特觸發(fā)器
8.3.1施密特觸發(fā)器的特性
8.3.2門電路構(gòu)成的施密特觸發(fā)器
8.3.3集成施密特觸發(fā)器
8.3.4施密特觸發(fā)器的應(yīng)用舉例
8.4555定時(shí)器及其應(yīng)用
8.4.1555定時(shí)器
8.4.2555定時(shí)器構(gòu)成單穩(wěn)態(tài)觸發(fā)器
8.4.3555定時(shí)器構(gòu)成多諧振蕩器
8.4.4555定時(shí)器構(gòu)成施密特觸發(fā)器
本章 小結(jié)
思考題與習(xí)題
第9章 轉(zhuǎn)換電路
9.1數(shù)/模轉(zhuǎn)換電路
9.1.1數(shù)/模轉(zhuǎn)換的基本概念
9.1.2權(quán)電阻網(wǎng)絡(luò)DAC
9.1.3倒T型電阻網(wǎng)絡(luò)DAC
9.1.4DAC的主要技術(shù)指標(biāo)
9.1.5集成DAC及應(yīng)用舉例
9.2模/數(shù)轉(zhuǎn)換電路
9.2.1逐次逼近型ADC
9.2.2并行比較型ADC
9.2.3雙積分型ADC
9.2.4ADC的主要技術(shù)指標(biāo)
9.2.5集成ADC及應(yīng)用舉例
9.3壓/頻轉(zhuǎn)換電路
9.3.1電壓/頻率轉(zhuǎn)換器LM331
9.3.2基于LM331的電壓/頻率轉(zhuǎn)換電路
9.3.3基于LM331的頻率/電壓轉(zhuǎn)換電路
本章 小結(jié)
思考題與習(xí)題
第10章 可編程邏輯基礎(chǔ)
10.1可編程邏輯概述
10.1.1PLD分類
10.1.2PLD的開發(fā)流程
10.1.3PLD的邏輯表示
10.2通用陣列邏輯GAL
10.2.1GAL的結(jié)構(gòu)及工作原理
10.2.2GAL的編程
10.3復(fù)雜可編程邏輯器件CPLD
10.3.1MAX7000系列CPLD
10.3.2Altera MAX Ⅱ系列CPLD
10.4現(xiàn)場(chǎng)可編程門陣列FPGA
10.4.1FPGA簡(jiǎn)介
10.4.2Altera Cyclone系列FPGA
10.4.3Cyclone FPGA器件的編程
10.4.4Altera在Cyclone系列之后推出的新產(chǎn)品簡(jiǎn)介
本章 小結(jié)
思考題與習(xí)題
第11章 數(shù)字系統(tǒng)設(shè)計(jì)基礎(chǔ)
11.1數(shù)字系統(tǒng)概述
11.1.1數(shù)字系統(tǒng)的基本概念
11.1.2數(shù)字系統(tǒng)設(shè)計(jì)的一般過(guò)程
11.2數(shù)字頻率計(jì)的原理與設(shè)計(jì)
11.2.1數(shù)字頻率計(jì)的原理
11.2.2數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)
11.3十字路口交通燈控制系統(tǒng)設(shè)計(jì)
11.3.1設(shè)計(jì)要求
11.3.2設(shè)計(jì)原理
11.3.3Proteus ISIS環(huán)境下的設(shè)計(jì)與仿真
11.3.4基于Verilog HDL的設(shè)計(jì)
本章 小結(jié)
思考題與習(xí)題
附錄AProteus ISIS用法簡(jiǎn)介309附錄BVerilog HDL語(yǔ)言簡(jiǎn)介311B.1文字規(guī)則
B.2數(shù)據(jù)類型
B.3運(yùn)算符
B.4基本語(yǔ)句
附錄CQuartus Ⅱ 9.1集成開發(fā)環(huán)境用法簡(jiǎn)介317附錄D常用IC引腳圖
參考文獻(xiàn)323第7章 概時(shí)序邏輯電路論
7.1寄存器
7.1.1數(shù)碼寄存器
7.1.2鎖存器
7.1.3移位寄存器
7.2計(jì)數(shù)器
7.2.1計(jì)數(shù)器分類
7.2.2同步集成計(jì)數(shù)器
7.2.3異步集成計(jì)數(shù)器
7.2.4基于MSI計(jì)數(shù)器的任意M進(jìn)制計(jì)數(shù)器
7.2.5移位寄存器型計(jì)數(shù)器
7.3順序脈沖發(fā)生器
7.3.1由計(jì)數(shù)器和譯碼器構(gòu)成順序脈沖發(fā)生器
7.3.2環(huán)形計(jì)數(shù)器作為順序脈沖發(fā)生器
7.4基于MSI時(shí)序邏輯電路的分析與設(shè)計(jì)
7.4.1基于MSI時(shí)序邏輯電路的分析
7.4.2基于MSI時(shí)序邏輯電路的設(shè)計(jì)
本章 小結(jié)
思考題與習(xí)題
第8章 脈沖數(shù)字電路
8.1多諧振蕩器
8.1.1TTL環(huán)形多諧振蕩器
8.1.2CMOS多諧振蕩器
8.2單穩(wěn)態(tài)觸發(fā)器
8.2.1微分型單穩(wěn)態(tài)觸發(fā)器
8.2.2積分型單穩(wěn)態(tài)觸發(fā)器
8.2.3集成單穩(wěn)態(tài)觸發(fā)器
8.2.4單穩(wěn)態(tài)觸發(fā)器的應(yīng)用
8.3施密特觸發(fā)器
8.3.1施密特觸發(fā)器的特性
8.3.2門電路構(gòu)成的施密特觸發(fā)器
8.3.3集成施密特觸發(fā)器
8.3.4施密特觸發(fā)器的應(yīng)用舉例
8.4555定時(shí)器及其應(yīng)用
8.4.1555定時(shí)器
8.4.2555定時(shí)器構(gòu)成單穩(wěn)態(tài)觸發(fā)器
8.4.3555定時(shí)器構(gòu)成多諧振蕩器
8.4.4555定時(shí)器構(gòu)成施密特觸發(fā)器
本章 小結(jié)
思考題與習(xí)題
第9章 轉(zhuǎn)換電路
9.1數(shù)/模轉(zhuǎn)換電路
9.1.1數(shù)/模轉(zhuǎn)換的基本概念
9.1.2權(quán)電阻網(wǎng)絡(luò)DAC
9.1.3倒T型電阻網(wǎng)絡(luò)DAC
9.1.4DAC的主要技術(shù)指標(biāo)
9.1.5集成DAC及應(yīng)用舉例
9.2模/數(shù)轉(zhuǎn)換電路
9.2.1逐次逼近型ADC
9.2.2并行比較型ADC
9.2.3雙積分型ADC
9.2.4ADC的主要技術(shù)指標(biāo)
9.2.5集成ADC及應(yīng)用舉例
9.3壓/頻轉(zhuǎn)換電路
9.3.1電壓/頻率轉(zhuǎn)換器LM331
9.3.2基于LM331的電壓/頻率轉(zhuǎn)換電路
9.3.3基于LM331的頻率/電壓轉(zhuǎn)換電路
本章 小結(jié)
思考題與習(xí)題
第10章 可編程邏輯基礎(chǔ)
10.1可編程邏輯概述
10.1.1PLD分類
10.1.2PLD的開發(fā)流程
10.1.3PLD的邏輯表示
10.2通用陣列邏輯GAL
10.2.1GAL的結(jié)構(gòu)及工作原理
10.2.2GAL的編程
10.3復(fù)雜可編程邏輯器件CPLD
10.3.1MAX7000系列CPLD
10.3.2Altera MAX II系列CPLD
10.4現(xiàn)場(chǎng)可編程門陣列FPGA
10.4.1FPGA簡(jiǎn)介
10.4.2Altera Cyclone系列FPGA
10.4.3Cyclone FPGA器件的編程
10.4.4Altera在Cyclone系列之后推出的新產(chǎn)品簡(jiǎn)介
本章 小結(jié)
思考題與習(xí)題
第11章 數(shù)字系統(tǒng)設(shè)計(jì)基礎(chǔ)
11.1數(shù)字系統(tǒng)概述
11.1.1數(shù)字系統(tǒng)的基本概念
11.1.2數(shù)字系統(tǒng)設(shè)計(jì)的一般過(guò)程
11.2數(shù)字頻率計(jì)的原理與設(shè)計(jì)
11.2.1數(shù)字頻率計(jì)的原理
11.2.2數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)
11.3十字路口交通燈控制系統(tǒng)設(shè)計(jì)
11.3.1設(shè)計(jì)要求
11.3.2設(shè)計(jì)原理
11.3.3Proteus ISIS環(huán)境下的設(shè)計(jì)與仿真
11.3.4基于Verilog HDL的設(shè)計(jì)
本章 小結(jié)
思考題與習(xí)題
附錄AProteus ISIS用法簡(jiǎn)介312附錄BVerilog HDL語(yǔ)言簡(jiǎn)介314B.1文字規(guī)則
B.2數(shù)據(jù)類型
B.3運(yùn)算符
B.4基本語(yǔ)句
附錄CQuartus II 9.1集成開發(fā)環(huán)境用法簡(jiǎn)介320附錄D常用IC引腳圖
參考文獻(xiàn)326第1章 概論
1.1什么是單片機(jī)
1.2單片機(jī)的發(fā)展過(guò)程及趨勢(shì)
1.3單片機(jī)的特點(diǎn)及應(yīng)用場(chǎng)合
1.4單片機(jī)與嵌入式系統(tǒng)
1.5MCS?51系列單片機(jī)
習(xí)題與思考
第2章 MCS?51單片機(jī)的硬件結(jié)構(gòu)及工作原理
2.1單片機(jī)的內(nèi)部結(jié)構(gòu)
2.2單片機(jī)的封裝及引腳功能說(shuō)明
2.2.1單片機(jī)的封裝
2.2.2單片機(jī)的引腳功能說(shuō)明
2.3單片機(jī)的微處理器
2.3.1運(yùn)算器
2.3.2控制器
2.3.3振蕩器、時(shí)鐘電路及時(shí)序
2.4單片機(jī)的復(fù)位
2.4.1單片機(jī)的復(fù)位功能
2.4.2常用的復(fù)位電路
2.5單片機(jī)的存儲(chǔ)系統(tǒng)
2.5.1單片機(jī)存儲(chǔ)系統(tǒng)結(jié)構(gòu)
2.5.2程序存儲(chǔ)器
2.5.3數(shù)據(jù)存儲(chǔ)器
2.5.4特殊功能寄存器
2.6MCS?51單片機(jī)并行I/O端口結(jié)構(gòu)及其功能
2.6.1并行I/O端口的內(nèi)部結(jié)構(gòu)28[][]◆[]單片機(jī)原理與接口技術(shù)教程目錄[][]2.6.2并行I/O端口的讀?修改?回寫操作
2.7單片機(jī)外部總線擴(kuò)展
2.7.1單片機(jī)外部總線擴(kuò)展的結(jié)構(gòu)
2.7.2地址空間的分配及譯碼
2.7.3擴(kuò)展外部程序存儲(chǔ)器的電路設(shè)計(jì)
2.7.4擴(kuò)展外部數(shù)據(jù)存儲(chǔ)器的電路設(shè)計(jì)
2.8單片機(jī)定時(shí)器/計(jì)數(shù)器的結(jié)構(gòu)及功能
2.8.1定時(shí)器/計(jì)數(shù)器的結(jié)構(gòu)及工作原理
2.8.2定時(shí)器/計(jì)數(shù)器的控制與狀態(tài)寄存器
2.8.3MCS?51定時(shí)器/計(jì)數(shù)器0和1的工作方式
2.8.4MCS?51定時(shí)器/計(jì)數(shù)器2的工作方式
2.9串行通信
2.9.1串行通信概論
2.9.2串行通信總線標(biāo)準(zhǔn)及接口
2.9.3MCS?51串行接口的組成
2.9.4MCS?51串行接口的工作方式
2.9.5MCS?51串行接口波特率的設(shè)置
2.10中斷系統(tǒng)
2.10.1中斷系統(tǒng)的結(jié)構(gòu)
2.10.2中斷響應(yīng)
2.10.3中斷請(qǐng)求的撤銷
習(xí)題與思考
第3章 MCS?51單片機(jī)的指令系統(tǒng)
3.1指令系統(tǒng)概述
3.1.1指令的概念
3.1.2指令系統(tǒng)符號(hào)標(biāo)識(shí)的說(shuō)明
3.2尋址方式
3.2.1立即尋址
3.2.2寄存器尋址
3.2.3間接尋址
3.2.4直接尋址
3.2.5變址尋址
3.2.6相對(duì)尋址
3.2.7位尋址
3.3指令分類介紹
3.3.1數(shù)據(jù)傳送類指令
3.3.2算術(shù)運(yùn)算類指令
3.3.3邏輯運(yùn)算類指令
3.3.4控制轉(zhuǎn)移類指令
3.3.5布爾(位)處理類指令
習(xí)題與思考
第4章 MCS?51匯編語(yǔ)言程序設(shè)計(jì)
4.1匯編語(yǔ)言程序設(shè)計(jì)概述
4.1.1匯編語(yǔ)言程序設(shè)計(jì)的基本步驟與方法
4.1.2匯編語(yǔ)言設(shè)計(jì)常用偽指令簡(jiǎn)介
4.2單片機(jī)匯編語(yǔ)言基礎(chǔ)程序設(shè)計(jì)舉例
4.2.1順序結(jié)構(gòu)程序設(shè)計(jì)
4.2.2分支結(jié)構(gòu)程序設(shè)計(jì)
4.2.3循環(huán)結(jié)構(gòu)程序設(shè)計(jì)
4.2.4子程序設(shè)計(jì)
4.3匯編語(yǔ)言程序設(shè)計(jì)實(shí)例
4.3.1通過(guò)I/O端口驅(qū)動(dòng)LED
4.3.2查詢方式的定時(shí)器應(yīng)用
4.3.3中斷方式的定時(shí)器應(yīng)用
習(xí)題與思考
第5章 單片機(jī)C語(yǔ)言程序設(shè)計(jì)
5.1C51的數(shù)據(jù)類型與基本運(yùn)算
5.1.1C51的數(shù)據(jù)類型、變量及存儲(chǔ)模式
5.1.2運(yùn)算符與表達(dá)式
5.1.3基本語(yǔ)句
5.2函數(shù)
5.2.1函數(shù)的定義
5.2.2函數(shù)的調(diào)用
5.2.3中斷服務(wù)函數(shù)與寄存器組選擇
5.2.4函數(shù)中變量的存儲(chǔ)方式
5.3數(shù)組與指針
5.3.1數(shù)組的定義和引用
5.3.2字符數(shù)組
5.3.3指針的概念
5.3.4數(shù)組的指針
5.3.5指針的地址計(jì)算
5.4結(jié)構(gòu)與聯(lián)合
5.4.1結(jié)構(gòu)變量的定義與引用
5.4.2結(jié)構(gòu)變量的初值
5.4.3結(jié)構(gòu)數(shù)組
5.4.4結(jié)構(gòu)指針
5.4.5聯(lián)合變量的定義與引用
5.5預(yù)處理器
5.5.1宏定義
5.5.2文件包含
5.5.3條件編譯
5.6C51程序?qū)嵗?br /> 5.6.1數(shù)據(jù)的分析和處理
5.6.2串行口數(shù)據(jù)收發(fā)
5.6.3查詢方式的定時(shí)器應(yīng)用
5.6.4中斷方式的定時(shí)器應(yīng)用
習(xí)題與思考
第6章 輸入輸出接口及系統(tǒng)擴(kuò)展設(shè)計(jì)
6.1鍵盤及其接口設(shè)計(jì)
6.1.1鍵盤的基本工作原理
6.1.2獨(dú)立式鍵盤接口設(shè)計(jì)
6.1.3行列式鍵盤接口設(shè)計(jì)
6.2LED顯示器及其接口設(shè)計(jì)
6.2.1數(shù)碼管顯示器的結(jié)構(gòu)與工作原理
6.2.2數(shù)碼管的靜態(tài)顯示與動(dòng)態(tài)顯示
6.3字符點(diǎn)陣LCD顯示模塊的控制——模擬總線時(shí)序驅(qū)動(dòng)
6.3.11602字符點(diǎn)陣式LCM簡(jiǎn)介
6.3.21602 字符點(diǎn)陣式LCM與單片機(jī)的接口
6.4圖形點(diǎn)陣LCD顯示模塊的控制——擴(kuò)展總線驅(qū)動(dòng)
6.4.1128×64圖形點(diǎn)陣液晶顯示模塊簡(jiǎn)介
6.4.2128×64圖形點(diǎn)陣式LCM和單片機(jī)的接口
6.5并行輸入輸出接口的擴(kuò)展
6.5.1通過(guò)串行口擴(kuò)展并行輸入輸出接口
6.5.2通過(guò)系統(tǒng)總線擴(kuò)展并行I/O端口
6.6單片機(jī)I/O端口模擬時(shí)序操作擴(kuò)展設(shè)備
6.6.1I2C串行總線的基本特點(diǎn)
6.6.2I2C串行總線通信協(xié)議
6.6.3單片機(jī)系統(tǒng)I2C串行總線應(yīng)用實(shí)例
習(xí)題與思考
第7章 單片機(jī)串行通信接口
7.1Hello,World!
7.2單片機(jī)串行口查詢方式通信
7.2.1設(shè)計(jì)思路分析
7.2.2串行口查詢方式通信程序?qū)嵗?br /> 7.3單片機(jī)串行口中斷方式通信
7.3.1設(shè)計(jì)思路分析
7.3.2串行口中斷方式通信程序?qū)嵗?br /> 7.4通過(guò)16C550擴(kuò)展串行通信接口
7.4.116C550簡(jiǎn)介
7.4.2單片機(jī)控制16C550實(shí)現(xiàn)擴(kuò)展串行口
7.5擴(kuò)展串行口在GSM無(wú)線通信網(wǎng)絡(luò)中的應(yīng)用
7.5.1GSM網(wǎng)絡(luò)概述
7.5.2GSM Modem模塊簡(jiǎn)介
7.5.3通過(guò)GSM Modem收發(fā)短消息
7.5.4GSM Modem模塊與單片機(jī)的接口及應(yīng)用
7.6通過(guò)RS?485總線實(shí)現(xiàn)單片機(jī)的多機(jī)通信
7.6.1單片機(jī)和RS?485總線收發(fā)器的接口電路設(shè)計(jì)
7.6.2單片機(jī)主從式多機(jī)通信的原理
7.6.3單片機(jī)主從式多機(jī)通信實(shí)例
習(xí)題與思考
第8章 電話通信接口
8.1電話用戶線接口簡(jiǎn)介
8.1.1用戶線接口的功能
8.1.2用戶線接口信號(hào)分析
8.2用戶線信號(hào)檢測(cè)及控制電路的設(shè)計(jì)
8.2.1振鈴信號(hào)檢測(cè)電路
8.2.2雙音多頻信號(hào)譯碼電路
8.2.3電話接口電路
8.2.4繼電器控制電路
8.3電話遠(yuǎn)程遙控系統(tǒng)的硬件電路設(shè)計(jì)
8.3.1CPU的選擇
8.3.2各部分電路的工作原理
8.4電話遠(yuǎn)程遙控系統(tǒng)的軟件設(shè)計(jì)
8.4.1軟件系統(tǒng)分析
8.4.2系統(tǒng)軟件設(shè)計(jì)
習(xí)題與思考
第9章 以太網(wǎng)通信接口
9.1TCP/IP協(xié)議的分層結(jié)構(gòu)及數(shù)據(jù)處理
9.1.1TCP/IP協(xié)議的分層結(jié)構(gòu)
9.1.2TCP/IP協(xié)議數(shù)據(jù)的處理
9.2相關(guān)協(xié)議報(bào)文的格式
9.2.1以太網(wǎng)幀格式
9.2.2ARP報(bào)文格式
9.2.3IP報(bào)文格式
9.2.4ICMP報(bào)文格式
9.2.5UDP報(bào)文格式
9.3嵌入式精簡(jiǎn)TCP/IP協(xié)議棧的結(jié)構(gòu)及現(xiàn)實(shí)意義
9.3.1嵌入式精簡(jiǎn)TCP/IP協(xié)議棧的總體結(jié)構(gòu)
9.3.2嵌入式精簡(jiǎn)TCP/IP協(xié)議棧的現(xiàn)實(shí)意義
9.4以太網(wǎng)串行口數(shù)據(jù)轉(zhuǎn)換模塊的硬件設(shè)計(jì)
9.4.1以太網(wǎng)接口芯片RTL8019AS
9.4.2單片機(jī)系統(tǒng)接口電路設(shè)計(jì)
9.5以太網(wǎng)串行口數(shù)據(jù)轉(zhuǎn)換模塊的軟件設(shè)計(jì)
9.5.1串行口控制程序的設(shè)計(jì)
9.5.2RTL8019AS控制程序的設(shè)計(jì)
9.5.3精簡(jiǎn)TCP/IP協(xié)議棧系統(tǒng)工作流程及代碼分析
9.5.4以太網(wǎng)串行口數(shù)據(jù)轉(zhuǎn)換模塊工作實(shí)測(cè)
習(xí)題與思考
參考文獻(xiàn)

本目錄推薦

掃描二維碼
Copyright ? 讀書網(wǎng) hotzeplotz.com 2005-2020, All Rights Reserved.
鄂ICP備15019699號(hào) 鄂公網(wǎng)安備 42010302001612號(hào)